Seguir
Simon Thomann
Simon Thomann
Researcher at Technical University Munich (TUM)
Dirección de correo verificada de tum.de - Página principal
Título
Citado por
Citado por
Año
Impact of extrinsic variation sources on the device-to-device variation in ferroelectric FET
K Ni, A Gupta, O Prakash, S Thomann, XS Hu, H Amrouch
2020 IEEE International Reliability Physics Symposium (IRPS), 1-5, 2020
522020
On the channel percolation in ferroelectric FET towards proper analog states engineering
K Ni, S Thomann, O Prakash, Z Zhao, S Deng, H Amrouch
2021 IEEE International Electron Devices Meeting (IEDM), 15.3. 1-15.3. 4, 2021
332021
Comprehensive variability analysis in dual-port fefet for reliable multi-level-cell storage
S Chatterjee, S Thomann, K Ni, YS Chauhan, H Amrouch
IEEE Transactions on Electron Devices 69 (9), 5316-5323, 2022
242022
Hw/sw co-design for reliable tcam-based in-memory brain-inspired hyperdimensional computing
S Thomann, PR Genssler, H Amrouch
IEEE Transactions on Computers, 2023
21*2023
All-in-memory brain-inspired computing using fefet synapses
S Thomann, HLG Nguyen, PR Genssler, H Amrouch
Frontiers in Electronics 3, 833260, 2022
192022
On the reliability of in-memory computing: Impact of temperature on ferroelectric TCAM
S Thomann, C Li, C Zhuo, O Prakash, X Yin, XS Hu, H Amrouch
2021 IEEE 39th VLSI Test Symposium (VTS), 1-6, 2021
192021
Reliability challenges with self-heating and aging in finfet technology
H Amrouch, VM van Santen, O Prakash, H Kattan, S Salamin, S Thomann, ...
2019 IEEE 25th International Symposium on On-Line Testing and Robust System …, 2019
192019
Iccad tutorial session paper ferroelectric fet technology and applications: From devices to systems
H Amrouch, D Gao, XS Hu, A Kazemi, AF Laguna, K Ni, M Niemier, ...
2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD), 1-8, 2021
172021
Bti and hcd degradation in a complete 32× 64 bit sram array–including sense amplifiers and write drivers–under processor activity
VM van Santen, S Thomann, C Pasupuleti, PR Genssler, N Gangwar, ...
2020 IEEE International Reliability Physics Symposium (IRPS), 1-7, 2020
152020
Reliable binarized neural networks on unreliable beyond von-neumann architecture
M Yayla, S Thomann, S Buschjäger, K Morik, JJ Chen, H Amrouch
IEEE Transactions on Circuits and Systems I: Regular Papers 69 (6), 2516-2528, 2022
132022
Cross-layer fefet reliability modeling for robust hyperdimensional computing
S Kumar, S Chatterjee, S Thomann, PR Genssler, YS Chauhan, ...
2022 IFIP/IEEE 30th International Conference on Very Large Scale Integration …, 2022
112022
Cross-layer reliability modeling of dual-port fefet: Device-algorithm interaction
S Kumar, S Chatterjee, S Thomann, YS Chauhan, H Amrouch
IEEE Transactions on Circuits and Systems I: Regular Papers, 2023
92023
Cryogenic cmos for quantum processing: 5-nm finfet-based sram arrays at 10 k
SS Parihar, VM van Santen, S Thomann, G Pahwa, YS Chauhan, ...
IEEE Transactions on Circuits and Systems I: Regular Papers, 2023
82023
Compact ferroelectric programmable majority gate for compute-in-memory applications
S Deng, M Benkhelifa, S Thomann, Z Faris, Z Zhao, TJ Huang, Y Xu, ...
2022 International Electron Devices Meeting (IEDM), 36.7. 1-36.7. 4, 2022
72022
Impact of self-heating on performance, power and reliability in finfet technology
VM van Santen, PR Genssler, O Prakash, S Thomann, J Henkel, ...
2020 25th Asia and South Pacific Design Automation Conference (ASP-DAC), 68-73, 2020
72020
Suppressing channel percolation in ferroelectric fet for reliable neuromorphic applications
K Ni, O Prakash, S Thomann, Z Zhao, S Deng, H Amrouch
2022 IEEE International Reliability Physics Symposium (IRPS), 1-8, 2022
62022
Joint modeling of multi-domain ferroelectric and distributed channel towards unveiling the asymmetric abrupt DC current jump in ferroelectric FET
S Thomann, K Ni, H Amrouch
ESSDERC 2022-IEEE 52nd European Solid-State Device Research Conference …, 2022
52022
Comprehensive Modeling of Switching Behavior in BEOL FeFET for Monolithic 3-D Integration
S Kumar, S Thomann, O Prakash, K Ni, YS Chauhan, H Amrouch
IEEE Transactions on Electron Devices, 2023
32023
Reliability-driven voltage optimization for NCFET-based SRAM memory banks
VM van Santen, S Thomann, YS Chauchan, J Henkel, H Amrouch
2021 IEEE 39th VLSI Test Symposium (VTS), 1-7, 2021
32021
Cross-layer design for computing-in-memory: From devices, circuits, to architectures and applications
H Amrouch, XS Hu, M Imani, AF Laguna, M Niemier, S Thomann, X Yin, ...
Proceedings of the 26th Asia and South Pacific Design Automation Conference …, 2021
32021
El sistema no puede realizar la operación en estos momentos. Inténtalo de nuevo más tarde.
Artículos 1–20